Follow
Mingyu Woo
Mingyu Woo
Verified email at qti.qualcomm.com - Homepage
Title
Cited by
Cited by
Year
Toward an open-source digital flow: First learnings from the openroad project
T Ajayi, VA Chhabria, M Fogaça, S Hashemi, A Hosny, AB Kahng, M Kim, ...
Proceedings of the 56th Annual Design Automation Conference 2019, 1-4, 2019
1432019
OpenROAD: Toward a self-driving, open-source digital layout implementation tool chain
T Ajayi, D Blaauw
Proceedings of Government Microcircuit Applications and Critical Technology …, 2019
802019
PROBE2.0: A systematic framework for routability assessment from technology to design in advanced nodes
CK Cheng, AB Kahng, H Kim, M Kim, D Lee, D Park, M Woo
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
212021
On the superiority of modularity-based clustering for determining placement-relevant clusters
M Fogaca, AB Kahng, E Monteiro, R Reis, L Wang, M Woo
Integration 74, 32-44, 2020
142020
DATC RDF-2019: Towards a complete academic reference design flow
J Chen, IHR Jiang, J Jung, AB Kahng, VN Kravets, YL Li, ST Lin, M Woo
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-6, 2019
142019
GRASP based metaheuristics for layout pattern classification
M Woo, S Kim, S Kang
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 512-518, 2017
132017
DATC RDF-2020: Strengthening the foundation for academic research in IC physical design
J Chen, IHR Jiang, J Jung, AB Kahng, VN Kravets, YL Li, ST Lin, M Woo
Proceedings of the 39th International Conference on Computer-Aided Design, 1-6, 2020
122020
Revisiting inherent noise floors for interconnect prediction
TB Chan, AB Kahng, M Woo
Proceedings of the Workshop on System-Level Interconnect: Problems and …, 2020
112020
Datc rdf-2021: Design flow and beyond iccad special session paper
J Chen, IHR Jiang, J Jung, AB Kahng, S Kim, VN Kravets, YL Li, ...
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-6, 2021
102021
A Novel Framework for DTCO: Fast and Automatic Routability Assessment with Machine Learning for Sub-3nm Technology Options
C Chidambaram, AB Kahng, M Kim, G Nallapati, SC Song, M Woo
2021 IEEE Symposium on VLSI Technology, 2021
92021
RosettaStone: connecting the past, present, and future of physical design research
AB Kahng, M Kim, S Kim, M Woo
IEEE Design & Test 39 (5), 70-78, 2022
72022
Fence-region-aware mixed-height standard cell legalization
SG Do, M Woo, S Kang
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 259-262, 2019
72019
Machine learning framework for early routability prediction with artificial netlist generator
D Kim, H Kwon, SY Lee, S Kim, M Woo, S Kang
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2021
62021
Statistical leakage analysis using Gaussian mixture model
H Kwon, M Woo, YH Kim, S Kang
IEEE Access 6, 51939-51950, 2018
62018
CoRe-ECO: Concurrent refinement of detailed place-and-route for an efficient eco automation
CK Cheng, AB Kahng, I Kang, M Kim, D Lee, B Lin, D Park, M Woo
2021 IEEE 39th International Conference on Computer Design (ICCD), 366-373, 2021
32021
Fast and scalable I/O pin assignment with divide-and-conquer and hungarian matching
V Bandeira, M Fogaça, EM Monteiro, I Oliveira, M Woo, R Reis
2020 18th IEEE International New Circuits and Systems Conference (NEWCAS), 74-77, 2020
32020
Analysis and Solution of CNN Accuracy Reduction over Channel Loop Tiling
SK Yesung Kang, Yoonho Park, Sunghoon Kim, Eunji Kwon, Taeho Lim, Sangyun Oh ...
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2020, 2020
22020
A Hybrid ECO Detailed Placement Flow for Improved Reduction of Dynamic IR Drop
AB Kahng, B Pramanik, M Woo
Great Lakes Symposium on VLSI (GLSVLSI), 2024, 2024
2024
SLO-ECO: Single-Line-Open Aware ECO Detailed Placement and Detailed Routing Co-Optimization
JW Jeon, AB Kahng, JH Kang, J Kim, M Woo
International Symposium on Quality in Electronic Design (ISQED), 2024, 2024
2024
Physical Design Methods and Research Infrastructure for Advanced VLSI Technologies
M Woo
UC San Diego, 2024
2024
The system can't perform the operation now. Try again later.
Articles 1–20